CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - dpll vhdl

搜索资源列表

  1. fdpll

    1下载:
  2. 简单的可配置dpll的VHDL代码。 用于时钟恢复后的相位抖动的滤波有很好的效果, 而且可以参数化配置pll的级数。-simple configurable dpll VHDL code. Clock Recovery for the jitter filtering is a very good result, but can pll configuration parameters of the series.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2037
    • 提供者:陈德炜
  1. 010919.pdf

    0下载:
  2. 全数字锁相环VHDL描述并实现功能仿真,另附有图形说明-DPLL VHDL descr iption and achieve functional simulation, followed by graphic shows
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:287252
    • 提供者:巢海步
  1. DPLL(VHDL).rar

    0下载:
  2. 使用VHDL语言进行的数字锁相环的设计,里面有相关的文件,可以使用MUX+PLUS打开,The use of VHDL language of digital phase-locked loop design, there are relevant documents, you can use MUX+ PLUS Open
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:13717
    • 提供者:国家
  1. dpll

    1下载:
  2. dpll的verilog代码,完成数字锁相。用于时钟对准,位同步。-dpll the verilog code to complete the digital phase-locked. Alignment for the clock, bit synchronization.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1181
    • 提供者:hsj
  1. FPGA-based-design-of-DPLL

    0下载:
  2. 采用VHDL设计的全数字锁相环电路设计,步骤以及一些详细过程介绍。-VHDL design using all-digital PLL circuit design, detailed process steps and some introduction.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:416605
    • 提供者:阿啊
  1. DPLL

    1下载:
  2. 数字锁相环频率合成器的vhdl实现的源代码-Digital PLL Frequency Synthesizer vhdl source code to achieve
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-05
    • 文件大小:540021
    • 提供者:sunnyhp
  1. NCO_sin

    1下载:
  2. 介绍了压控震荡器(VCO)的设计,压缩包里面有VHDL语言编写的代码,在仿真器上可以实现仿真结果,非常不错 -The VHDL code of VCO
  3. 所属分类:VHDL编程

    • 发布日期:2017-06-07
    • 文件大小:3015
    • 提供者:吴晓英
  1. DPLL

    0下载:
  2. 90度锁定的数字锁相环的设计的VHDL源代码-The VHDL code of Digital Phase-Locked Loop Based on CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:350198
    • 提供者:sunjinqiu
  1. dpll

    3下载:
  2. 基于Verilog的数字锁相环。包括三个模块,数字鉴相器DPD、数字环路滤波器DLF、数控振荡器 DCO三部分构成-Verilog-based digital PLL. Consists of three modules, the digital phase detector DPD, digital loop filter DLF, digitally controlled oscillator DCO three parts
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-27
    • 文件大小:668875
    • 提供者:栾帅
  1. NewWayOfDPLLdesign

    0下载:
  2. 使用VHDL语言进行设计DPLL(数字锁相环)的相关文件-The use of VHDL language design DPLL (digital phase-locked loop) of the relevant documents
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:223350
    • 提供者:国家
  1. shuzisuoxiang

    0下载:
  2. 数字锁相环(DPLL)技术在数字通信、无线电电子学等众多领域得到了极为广泛的应用。与传统的模拟电路实现的PLL相比,DPLL具有精度高、不受温度和电压影响、环路带宽和中心频率编程可调、易于构建高阶锁相环等优点。-Digital phase-locked loop (DPLL) technology in digital communications, radio electronics, and many other fields has been extremely wide range of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1039
    • 提供者:hellen
  1. FPGAphaselockedloopdesign

    0下载:
  2. 介绍了应用VHDL技术设计嵌入式全数字锁相环路的方法,详细叙述了其工作原理和设计思想,并用可编程逻辑器件FPGA实现。-Introduce the application of VHDL technical design embedded DPLL road approach, described in detail its working principle and design idea, and programmable logic device FPGA implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:286652
    • 提供者:朱雯
  1. pll

    0下载:
  2. 一个实现任意倍频的,输入参考频率未知的pll,已综合实现-frequency multiple rely on dpll,unknown reference input clock
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-01
    • 文件大小:3886
    • 提供者:刘彻
  1. DPLL

    0下载:
  2. pll 的数字实现大家 支持 第一次 传-pll digital impliment
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:49267
    • 提供者:zhangfuquan
  1. all_digital_fm_receiver_latest

    0下载:
  2. Fm receiver using DP-Fm receiver using DPLL
  3. 所属分类:Modem program

    • 发布日期:2017-03-31
    • 文件大小:112525
    • 提供者:sai
  1. DPLL

    0下载:
  2. 全数字锁相环的verilog设计,已通过仿真验证能迅速锁定相位-Digital phase loop lock design with verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1286
    • 提供者:yangyanwen
  1. DPLL

    0下载:
  2. 基于VHDL语言的DPLL电路的设计,给出了设计方案和部分源代码 -DPLL
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:193347
    • 提供者:zhao peng
  1. AD-PLL

    0下载:
  2. 基于VHDL的全数字锁相环的设计与实现,quartusII的仿真程序。-DPLL based on VHDL Design and Implementation, quartusII the simulation program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2235
    • 提供者:yzn8625
  1. VHDL-FPGA-DLL

    0下载:
  2. 自动检测中英文中译英英译中百度翻译 翻译结果(中 > 英)复制结果 A VHDL language based on all digital phase-locked loop DPLL VHDL realization-自动检测中英文中译英英译中百度翻译 翻译结果(中 > 英)复制结果 A VHDL language based on all digital phase-locked loop DPLL VHDL realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:230400
    • 提供者:ldd
  1. VHDL-FPGA-ALL-digital-DDLL

    0下载:
  2. VHDL 全数字锁相环 ise7.1i环境实现 内有代码 和时域仿真结果-A VHDL language based on all digital phase-locked loop DPLL VHDL realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:230344
    • 提供者:ldd
« 12 »
搜珍网 www.dssz.com